VHDL / Verilog-HDL Archives CD - Part 3...


 

CATEGORY: [ Actel ][ Altera ][ LeopardLogic ][ Xilinx ][ General ][ HDL ]

Part 1 | Part 2 | Part 3 - Papers | Part 4 | Part 5

 

이곳은 인터넷상의 이곳 저곳에서 모은 각종 논문, 잡지 기고문, Conference 발표문서, 관련 Presentation 자료, 기술보고서등만을 따로 분류관리하는 페이지 입니다...

1. Cliff Cummings


2. Stuart Sutherland


3. Don Mills


4. Roman Lysecky

  • R. Lysecky, S. Cotterell, F. Vahid A Fast On-Chip Profiler Memory using a Pipelined Binary Tree. IEEE Transaction on Very Large Scale Integration (TVLSI), To Appear. PDF
  • F. Vahid, R. Lysecky, C. Zhang, G. Stitt. Highly Configurable Platforms for Embedded Computing Systems. Invited Paper Microelectronics Journal, Elsevier Publishers, To Appear PDF
  • R. Lysecky, F. Vahid. Pre-fetching for Improved Bus Wrapper Performance in Cores. ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 7, Number 1, January 2002. PDF
  • Conference
  • R. Lysecky, F. Vahid. A Codesigned On-Chip Logic Minimizer. CODES+ISSS 2003, To Appear, October 2003. PDF
  • R. Lysecky, F. Vahid. On-Chip Logic Minimization. Design Automation Conference (DAC), June 2003. PDF   Slides
  • G. Stitt, R. Lysecky, F. Vahid. Dynamic Hardware/Software Partitioning: A First Approach. Design Automation Conference (DAC), June 2003. PDF   Slides
  • R. Lysecky, S. Cotterell, F. Vahid. A Fast On-Chip Profiler Memory. Design Automation Conference (DAC), pp. 28-33, June 2002. PDF   Slides
  • G. Stitt, F. Vahid, T. Givargis, R. Lysecky. A First-step Towards an Architecture Tuning Methodology for Low Power. Compilers, Architectures, and Synthesis for Embedded Systems (CASES), pp. 187-192, Novemeber 2000. PDF   Slides
  • R. Lysecky, F. Vahid, T. Givargis. Experiments with the Peripheral Virtual Component Interface. International Symposium on System Synthesis (ISSS), pp. 221-224, September 2000. PDF   Slides
  • R. Lysecky, F. Vahid, T. Givargis. Techniques for Reducing Read Latency of Core Bus Wrappers. Design Automation and Test in Europe (DATE) Conference, pp. 84-91, March 2000. PDF   Slides
    Received Best Paper Award
  • R. Lysecky, F. Vahid, T. Givargis, R. Patel. Pre-fetching for Improved Core Interfacing. International Symposium on System Synthesis (ISSS), pp. 51-55, November 1999. PDF   Slides

5. Krishna Sekar (2004.3.1)

  • K.Sekar, S.Dey, "LI-BIST: A Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects", in Journal of Electronic Testing: Theory and Applications, Vol. 19, No. 2, pp.113-123, April 2003. pdf
  • Conference Publications:
  • K.Sekar, K.Lahiri, S.Dey, "Dynamic Platform Management for Configurable Platform-Based System-on-Chips", to appear in Proc. International Conference on Computer-Aided Design, San Jose, Nov 2003. pdf
  • K.Sekar, S.Dey, "LI-BIST: A Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects", in Proc. 20th IEEE VLSI Test Symposium, Monterey, May 2002. pdf
  • I.Ghosh, K.Sekar, V.Boppana, "Design for Verification at the Register Transfer Level", in International Conference on VLSI Design and Asia and South Pacific Design Automation Conference, pp.420-425, Bangalore, January 2002. pdf
  • P.Dasgupta, P.P.Chakrabarti, A.Nandi, K.Sekar, A.Chakrabarti, "Abstraction of Word-level Linear Arithmetic Functions from Bit-level Component Descriptions", in Design, Automation, and Test in Europe, pp.4-8, Munich, March 2001. pdf
  • S.Dey, P.Sanchez, D.Panigrahi, L.Chen, C.Taylor, K.Sekar, "Using a Soft Core in a SOC Design: Experiences with picoJava", IEEE Design and Test of Computers, vol.17, no.3, pp.60-71, July-September 2000. pdf
  • L.Chen, S.Dey, P.Sanchez, K.Sekar, Y.H.Chen, "Embedded Hardware and Software Self-Testing Methodologies for Processor Cores", in Proc. 37th Design Automation Conference, Los Angeles, June 2000. pdf

6. DiVA portal - Linköping University


Other Papers...

  Send to a colleague | Print this document