library IEEE; package fault_circuit_misr_cmpt is use IEEE.std_logic_1164.all; component fault_circuit_misr port ( a : in std_ulogic_vector(9 downto 0); y : out std_ulogic_vector(9 downto 0) ); end component; end fault_circuit_misr_cmpt;